正确的提示信息

扫码打开虎嗅APP

从思考到创造
打开APP
搜索历史
删除
完成
全部删除
热搜词
2023-05-10 17:26
2万字长文:从KLA看量测设备的护城河

本文来自微信公众号:国君材料与装备组(ID:GJCLYZBZ),作者:肖群稀、鲍雁辛,题图来自:视觉中国


摘要:半导体设备呈现周期波动,2016~2021年经历了5年快速成长期,驱动力来自产能的扩张、技术节点的进步。2005~2021年半导体装备市场CARG=7.4%,呈现了周期波动的特征,2016年行业进入快速成长期,2016~2021年CARG=20%。半导体量测设备,2021年市场规模77.9亿美元,2016~2021年CARG=19%,远高于2005~2015年6.6%的复合增速。成长提速的驱动力:1)终端需求多样化带来产能的持续扩张;2)工艺的进步:7nm及以下节点量产,往逻辑器件的FinFET和GAA(Gate-All-Around)结构迈进。行业龙头KLA将21~26年的长期复合增长目标设定为9~11%。


为了提升良率和产能,所有芯片制造阶段都需要过程控制,研发和HVM的挑战体现在精确度和速度上。1)更高的空间分辨精度。目前最先进的检测和量测设备所使用的光源波长已包含DUV 波段,能够稳定地检测到小于14nm的晶圆缺陷,能够实现0.003nm的膜厚测量重复性。光学检测技术发展的重要趋势包括:检测系统光源波长下限进一步减小和波长范围进一步拓宽、提高光学系统的数值孔径以提升光学分辨率。2)提升检测速度和吞吐量,降低集成电路制造厂商的平均晶圆检测成本,实现降本增效。3)大数据检测算法和软件重要性凸显。技术手段光学检测(占比75%)占主导,电子束检测技术作为辅助,核心技术涉及光学、图像分析算法、大数据检测算法及精密运动控制及软件等。


2020年全球半导体量测设备KLA一家独大,市场份额50.8%。5年来,KLA在全球5大半导体设备企业(AMAT、LAM、ASML、TEL)中,表现出了相对更稳定的成长性和更高的盈利能力,其核心竞争力是:1)创新是命脉,50年来公司持续领跑各种复杂尖端的量测技术,研发投入占比高达15%,2021年达到9亿美元。2)全面的产品组合,满足客户对精确度和吞吐量的双重要求;3)强大的服务体系和供应链管理:KLA全球装机量近6万台,平均使用寿命12年,服务收入占比1/4左右。深厚的供应商关系确保了供应的连续性和高质量,与KLA设计和制造业务密切协调,确保无缝的客户体验。KLA基于强大的持续改进文化的指标管理,用严格的组织和独特的系统来管理复杂的全球供应链。


科技脱钩使得全球重塑芯片行业供应链体系,2021年本土量测设备国产化率仅2%,存在较大替代空间。预计2026年中国晶圆厂产能将是2022年底的2.8倍,国内领先量测设备厂家的部分产品已进入一线产线验证,推动国产化发展,差距有望持续缩小:1)产品覆盖度:国内龙头的产品覆盖度为27.2%,国内龙头企业加大产品研发力度,有望尽早实现50%以上的产品覆盖度。2)工艺节点上:国内企业已能覆盖28nm及以上制程,持续研发28mn以下制程。同时三代化合物半导体衬底产能将达到600万片/年(6英寸),由于其材料特性,某些制造工艺流程需要特定设备开发,多数环节存在空白或补链的机会。


风险提示:下游需求持续未复苏,技术进步的速度低于预期。


一、半导体量测设备市场成长的驱动力


1.1.量测设备:盈利能力最强,成长最稳定的环节


半导体设备市场呈现周期波动,2016年进入快速成长期,2016~2021年行业复合增速20%。半导体装备市场最近十年增长迅速,2005~2021年复合增速为7.4%,呈现强周期波动的特征,2016年行业进入快速成长期,复合增速20%,2021年全球半导体设备市场为1,026亿美元。2016~2021年中国大陆半导体设备的规模从64.5亿美元增长到296亿美元,复合增速36.5%,2020年起连续两年成为全球第一大半导体设备市场。


图1:2016~2020年全球半导体设备市场快速增长,复合增速20%

数据来源:SEMI、国泰君安证券研究


图2:2016~2020年中国大陆半导体设备市场快速增长,复合增速36.5%

数据来源:SEMI、国泰君安证券研究


半导体设备四大设备:刻蚀、沉积、光刻、量测合计占比73%,分别为22.3%、20.3%、19.3%和11.2%。在以上四大类设备中,国内企业已经在刻蚀、沉积领域已经取得两位数的国产化突破,光刻和量测设备的国产化在起步阶段。


图3:2021年全球半导体设备市场格局:刻蚀、沉积、光刻、量测设备居前

数据来源:SEMI、国泰君安证券研究


图4:2021年中国半导体设备细分产品国产化情况:量测设备国产化率较低

数据来源:SEMI、国泰君安证券研究


2016~2021年,全球半导体测试设备复合增速19%,2021年为96.8亿元。根据SEMI数据,2005年全球半导体前道检测设备市场规模约为30亿美元,2021年成长到96.8亿美元,复合增速7.6%,2016年进入快速成长期,2016~2021年复合增速21%。


2016~2020年,中国大陆半导体检测与量测设备市场年均复合增长率31.6%,2020年为21亿美元,全球市占率27.4%。根据VLSI Research 统计,2019年全球半导体检测和量测设备市场较2018年缩减了3.8%,中国大陆地区半导体检测和量测设备市场2019年实现了35.2%的同比增长,超过中国台湾市场成为全球最大的半导体检测与量测设备市场,占比为26.5%。2020年中国大陆半导体检测与量测设备的市场规模为21.0亿美元,同比增长24.3%,全球市场占比进一步提升至27.4%。


图5:2016~2021年全球半导体量测设备市场复合增速19%(十亿美元)

数据来源:SEMI、国泰君安证券研究


图 6:中国半导体量测设备市场复合增速35%(亿美元)

数据来源:SEMI、国泰君安证券研究


图 7:中国半导体量测设备市占跃居第一,21年达到27.4%

数据来源:SEMI、国泰君安证券研究


量测设备龙头KLA在前道设备全球5大龙头企业中,表现出了相对更优秀的成长性和盈利能力。APPLIED MATERIAL、ASML、LAM、TEL和KLA前五大前道设备龙头2021年收入相较于2015年分别成长138%、207%、193%、177%、209%。KLA是五家中唯一一家自2015年以来持续成长的公司,营收的稳定性明显优于其余四家。我们认为,这是由于量测设备相较于其他工艺设备,更受益于工艺和技术节点进步的变化。从盈利能力来看,KLA的毛利率水平也显著好于其余4家。


图8:在前道工艺设备全球龙头企业中,KLA的营收表现最佳

数据来源:WIND、国泰君安证券研究


图9:在前道工艺设备全球龙头企业中,KLA的毛利率表现最佳

数据来源:WIND、国泰君安证券研究


1.2. 驱动力之一:产能扩张驱动


全球消费电子至今经历了3 轮大周期,分别由 PC 电脑、手机+平板、可穿戴设备的兴起带来。2010年消费电子行业结束了PC时代迎来了手机+平板的爆发,2014年可穿戴设备进入成长周期,接替手机与平板带来新动能。未来,以5G、云、人工智能、AR/VR、可穿戴等为核心的创新周期仍将继续,消费电子产品的创新和多样化,将继续对半导体器件带来各种需求。


图10:消费电子产品迎来新的创新周期,产品更加多元化

数据来源:KLA


图11:PC、手机、平板引领过去三轮消费电子产品创新周期

数据来源:IDC、gantner、国泰君安证券研究


2016年以后设备的快速成长得益于存储器件的旺盛需求。2016年之前设备需求的增长主要来自于手机、笔电和PAD等产品需求增加,主要表现为存储器为代表的模拟芯片需求增加,2022年的设备的需求则由模拟和逻辑芯片共同带动,映射到下游新能源汽车市场的爆发。当市场需求旺盛时,工厂为满足下游需求的增长扩充产能,装备需求持续增长。


图12:2016~2021年记忆体驱动资本开支需求,2022年模拟和逻辑共同驱动

数据来源:SEMI、国泰君安证券研究


图13:晶圆厂投资强度显著增加

数据来源:KLA、国泰君安证券研究


1.3.驱动力之二:工艺节点进步


随着工艺节点的进步,半导体装备的成本会在器件总成本中的比重越来越高。规模化回归之后,7nm迅速成为“超级节点”,部分先进半导体制造厂商已实现5nm工艺的量产并开始3nm工艺的研发,28nm工艺节点的工艺步骤有数百道工序,由于采用多层套刻技术,14nm及以下节点工艺步骤增加至近千道工序。无论是在存储技术的途径上,朝着3D NAND 和DRAM前进,或是往逻辑器件的FinFET和GAA(Gate-All-Around)结构迈进,工艺技术越先进,对于晶圆缺陷检测与控制的技术要求越高。


先进的设计提供了引人注目的经济效益,快速增长的设计开始推动资本开支的增长,预计超过50%涉及和产能的增长都是由7nm技术节点带来。


图14:EUV和摩尔定律下,技术节点往7nm及更高制程进步

数据来源:KLA


图15:从FinFET到GAA构架的过渡,带来了额外的流程复杂性

数据来源:KLA


图16:预计超过50%的设计和产能增长是由7nm技术节点带来

数据来源:KLA


工艺节点每缩减一代,致命缺陷数量增加50%,加大量测设备的使用可以有效提升良率水平。根据YOLE的统计,工艺节点每缩减一代,工艺中产生的致命缺陷数量会增加50%,因此每一道工序的良品率都要保持在非常高的水平才能保证最终的良品率,对集成电路生产过程中的质量控制需求将越来越大。随着半导体工艺新的技术节点不断往下延伸,线宽不断缩小,对于缺陷的容忍度也越来越低。如图所示,一颗0.2微米不到的微小颗粒缺陷在28纳米工艺的晶圆上经过蚀刻后造成图形异常,从而导致严重的良率失效。同样的缺陷在55纳米工艺的晶圆上则对后续图形层没有明显的影响。


图17:不同工艺节点晶圆厂量测设备的投资占比:28mn阶段量测设备资本开支占比提升至20%

数据来源:《28纳米关键工艺缺陷检测与良率提升》上海交大 龙吟


先进工艺需要更多的在线检测,以达到最佳的生产效益和更低的研发成本。在线检测无论是对量产的晶圆厂,还是对先进制程的研发部门,其经济效益都非常高。技术节点为1微米的6寸晶圆,需6道光罩制造,其工艺周期约9天;55纳米技术节点、12寸晶圆的制造工艺流程需60天以上。55纳米工艺整个流程800多道制造步骤中,任何一个步骤出现问题,都可能导致产品晶圆的报废。


在新技术、新工艺的研发阶段,如果没有在线检测,一个工艺变更可能就需要长达60天以上的时间进行验证,研发周期将极其漫长,可能错过产品的市场生命周期。因此,在大量生产的晶圆厂,如果没有在线检测,其造成的经济损失将无法估量。


图18:半导体制造的良率经验曲线

数据来源:《28纳米关键工艺缺陷检测与良率提升》上海交大 龙吟


二、半导体量测设备技术进步方向:更高分辨率、更高吞吐量


2.1.过程控制贯穿芯片研发生产全过程


集成电路质量控制包括前道检测、中道检测和后道测试。1)前道检测主要以光学和电子束等非接触式手段,针对光刻、刻蚀、薄膜沉积、清洗、CMP 等晶圆制造环节的质量控制的检测;2)中道检测面向先进封装环节,主要以光学等非接触式手段针对重布线结构、凸点与硅通孔等晶圆制造环节的质量控制;3)后道测试主要利用接触式的电性手段对芯片进行功能和参数测试,分为晶圆检测(CP, Circuit Probing)和成品测试(FT, Final Test),通过分析测试数据,能够确定具体失效原因,并改进设计及生产、封测工艺,以提高良率及产品质量。半导体测试机主要分为存储器、SoC、模拟、数字、分立器件和 RF测试机。本文主要介绍的是前道和中道的量测设备。


图19:半导体检测、量测、测试设备产业链

数据来源:VLSI Research、QY Research、国泰君安证券研究


应用于前道制程和先进封装的质量控制根据工艺可分为检测(Inspection)和量测(Metrology)两大环节,价值量占比分别为40%和50%,控制软件等其他设备占10%。检测指在晶圆表面上或电路结构中,检测其是否出现异质情况,如颗粒污染、表面划伤、开短路等对芯片工艺性能具有不良影响的特征性结构缺陷;量测指对被观测的晶圆电路上的结构尺寸和材料特性做出的量化描述,如薄膜厚度、关键尺寸、刻蚀深度、表面形貌等物理性参数的量测。


图20:半导体缺陷检测和量测技术

数据来源:VLSI Research、QY Research、国泰君安证券研

注:缺陷检测是黄色部分,量测是蓝色部分。


所有芯片制造阶段都需要过程控制。过程控制的目的是为了提升良率和产能,研发和HVM的客户挑战主要体现在精确度和速度上。量测设备技术进步方向:


1)更高的空间分辨精度。目前最先进的检测和量测设备所使用的光源波长已包含DUV 波段,能够稳定地检测到小于14nm的晶圆缺陷,能够实现0.003nm的膜厚测量重复性。检测系统光源波长下限进一步减小和波长范围进一步拓宽是光学检测技术发展的重要趋势之一。提高光学系统的数值孔径也是提升光学分辨率的另一个突破方向,以图形晶圆缺陷检测设备为例,光学系统的最大数值孔径已达到 0.95,探测器每个像元对应的晶圆表面的物方平面尺寸最小已小于30nm。为满足更小关键尺寸的晶圆上的缺陷检测,必须使用更短波长的光源,以及使用更大数值孔径的光学系统,才能进一步提高光学分辨率。


2)提升检测速度和吞吐量,有效降低集成电路制造厂商的平均晶圆检测成本,实现降本增效。检测速度和吞吐量更高的检测和量测设备可帮助下游客户更好地控制企业成本,提高良品率。


3)大数据检测算法和软件重要性凸显。结合深度的图像信号处理软件和算法,在有限的信噪比图像中寻找微弱的异常信号。晶圆检测和量测的算法专业性很强,检测和量测设备对于检测速度和精度要求非常高,且设备从研发到产业化的周期较长。因此,目前市场上没有可以直接使用的软件,企业均在自己的检测和量测设备上自行研制开发算法和软件,未来对检测和量测设备相关算法软件的要求会越来越高。


图21:研发和量产过程中的挑战:分辨率和吞吐量

数据来源:KLA


2.2.量测设备:价值占比近4成,关键尺寸量测占比最大


量测设备主要功能:在半导体生产过程中,对经过每一道工艺的晶圆进行定量测量,以保证工艺的关键物理参数满足工艺指标,如膜厚、关键尺寸(CD)、膜应力、折射率、参杂浓度、套准精度等。


表1:半导体缺陷检测和量测技术的价值量分布

数据来源:中科飞测招股说明书,国泰君安证券研究


2.2.1.关键尺寸(CD)测量设备


半导体制程中最小线宽一般称之为关键尺寸,其变化是半导体制造工艺中的关键。随着关键尺寸越来越小,容错率也越小,因此必须要尽可能的量测所有产品的线宽。例如:在半导体晶圆的指定位置测量电路图案的线宽和孔径。


由于CD-SEM需要将待测晶圆置于真空,因此检测速度较慢,目前基于衍射光学原理的非成像光学关键尺寸(OCD)测量设备已成为先进半导体制造了艺中的主要工具,它可以实现对器件关键线条宽度及其他形貌尺寸的精确测量,并具有很好的重复性和长期稳定性,通过OCD测量可以一次性获得诸多工艺尺寸参数,在以前这些参数通常需要使用多种设备(如扫描电子显微镜、原子力显微镜、光学薄膜测量仪等)才能完成。主要供应商:KLA(Spectra Shape系列)、NanoMetrics、上海睿励(TFX 3000)、上海精测(EPROFILE 300FD)。关键尺寸扫描电子显微镜(CD-SEM)主要供应商:Hitachi High-Tech、应用材料(VeritySEM5i)


图22:光学关键尺寸测量技术

数据来源:KLA、国泰君安证券研究


2.2.2.薄膜材料的厚度和物理常数量测设备


在半导体制造过程中,晶圆要进行多次各种材质的薄膜沉积,因此薄膜的厚度及其性质(如折射率和消光系数)需要准确地确定,以确保每一道工艺均满足设计规格。


表2:不同类型薄膜材料的测量方法及供应商

数据来源:国泰君安证券研究


2.2.3.套刻误差对准测量


用于量测光刻机、掩模版和硅片的对准能力。量测系统检查覆盖物的准确性(叠加工具)测量用于检查传输到晶圆上的第一层和第二层图案的射覆盖精度。在半导体制造过程中,关键层的光学套刻对准直接影响了器件的性能、成品率及可靠性,随着芯片集成度的增加,线宽逐渐缩小以及多重光刻工艺的应用,套刻误差需要更严格地被控制,因此套刻误差测量也是过程工艺控制中最重要的步骤之一。其测量原理通常为通过光学显微成像系统获得两层刻套目标图形的数字化图像,然后基于数字图像算法,计算每一层的中心位置,从而获得套刻误差。主流供应商: KLA(Archer 系列)、ASML(Yield-Star 系列)


2.3.缺陷检测:价值占比超过一半,图形化晶圆检测是重点


缺陷检测主要功能:检测晶圆上的物理缺陷(称为颗粒的异物)和图案缺陷,并获取缺陷的位置坐标(X,Y)。缺陷可分为随机缺陷和设备缺陷,随机缺陷主要是由附着在晶圆表面的颗粒引起的,因此无法预测其位置。晶圆缺陷检测设备的主要作用是检测晶圆上的缺陷并找出其位置(位置坐标);设备缺陷则是由掩模和曝光工艺的条件引起的,往往在所有投射的管芯的电路图案上的相同位置发生。


表3:半导体缺陷检测和量测技术的价值量分布

数据来源:中科飞测招股说明书,国泰君安证券研究


图23:主要的缺陷种类:形貌缺陷,污染物,晶体缺陷

数据来源:陈世炜《基于明暗场成像的多扫描方式图案化晶圆检测技术研究》、国泰君安证券研究


2.3.1.光罩/掩膜检测


掩模在使用过程中很容易吸附粉尘颗粒,而较大粉尘颗粒很可能会直接影响掩模图案的转印质量,如果不进行处理会进一步引起良率下降。因此,在利用掩模曝光后,通常会利用集成掩模探测系统对掩模版进行检测,如果发现掩模版上存在超出规格的粉尘颗粒,则处于光刻制程中的晶圆将会全部被返工。Fab中对掩模缺陷的检测分为在线和离线两种。在线检测是指每次曝光之前和之后对掩模板表面检测,通常是依靠光刻机中内置的检测单元来完成的。离线检测是指定期地把掩模从系统中调出来做缺陷检测。


EUV光罩/掩模检测:波长更短,检测灵敏度更高。传统的检查EUV光掩膜的方法主要是将深紫外光(DUV)应用于光源中。DUV光虽然也可以应用于当下最先进的工艺5纳米中, asertec 公司的经营企划室室长三泽祐太朗指出,“随着微缩化的发展,在步入2 纳米制程时,DUV的感光度可能会不够充分”即,采用EUV光源的检测设备的需求有望进一步增长。极紫外(EUV)的波长较DUV 更短,产品缺陷检测灵敏度更高。EUV掩模版的检测原理为:电磁波辐射到细小缺陷颗粒上被散射形成暗场,这样可以实现缺陷的检测,系统采用364nm的工作波长,对于基地大小为88nm的缺陷,检测可行度97%。主要供应商:KLA、日本Lasertec Corp.。


图24:EUV光罩/掩模检测技术

数据来源:中科飞测招股说明书、国泰君安证券研究


2.3.2.无图形晶圆检测


检出裸晶圆颗粒及缺陷,奠定图形化检测基础,是一种用于检测圆片表面品质和发现圆片表面缺陷的光学检测设备。无图形化检测指在开始生产之前,裸晶圆在晶圆制造商处获得认证,半导体晶圆厂收到后再次认证的检测过程。由于晶圆尚未形成图案,因此无需图像比较即可直接检测缺陷。


其工作原理是将激光照射在圆片表面,通过多通道采集散射光,经过表面背景噪声抑制后,通过算法提取和比较多通道的表面缺陷信号,最终获得缺陷的尺寸和分离。无图形圆片表面检测系统能够检测的缺陷类型包括颗粒污染、凹坑、水印、划伤、浅坑、外延堆垛(Epi Stacking)、CMP 突起(CMP Protrusion)。一般来说,暗场检测是非图案化晶圆检测的首选,因为可以实现高速扫描,从而实现高的晶圆产量。主要供应商:KLA(Surfscan系列)、Hitachi High-Tech(LS系列)


图25:无图形晶圆检测技术

数据来源:中科飞测招股说明书、国泰君安证券研究


2.3.3.图形化晶圆检测:比较图像生成缺陷图,识别物理和高纵横比缺陷


图形化定义:图形化使用光刻法和光学掩膜工艺来刻印图形,在器件制造工艺的特定工序,引导完成晶圆表面的材料沉积或清除。对于器件的每一层,在掩膜未覆盖的区域沉积或清除材料,然后使用新的掩膜来处理下一层。按照这种方式来重复处理晶圆,由此生成多层电路。


图形化晶圆的光学检测可采用使用明场和/或暗场成像、电子束检测技术,具体取决于应用。图案表面散射的复杂性会降低到检测器的总光子通量,从而导致晶圆检测的整体周期更长。用于图案化晶圆应用的基于DUV的光学检测使用与旧的VIS和UV光检测系统相同的图像比较原理,基于DUV 的方法在光学、运动控制和图像分析算法方面需要更高的精度,DUV检测机台已成为低至 65 纳米特征尺寸的图案化晶圆检测的行业标准。


图26:检测机台中的光收集、处理和晶圆映射

数据来源:中科飞测招股说明书、国泰君安证券研究


2.3.4.缺陷复查检测:放大缺陷图像进行甄别,提供依据优化制程工艺


随着半导体集成电路工艺节点的推进,作为晶圆厂制程控制主力设备的光学缺陷检测设备的解析度无法满足大规模生产和先进制程开发需求,必须依靠更高分辨率的电子束复检设备的进一步复查才能对缺陷进行清晰的图像成像和类型的甄别,从而为半导体制程工艺工程师优化制程工艺提供依据。缺陷复查是一种使用扫描电子显微镜(SEM)检查晶圆上的缺陷。使用缺陷复查将半导体晶圆缺陷检测系统检测到的缺陷放大为高放大倍率图像,以便对该图像进行检阅和分类。缺陷复查设备主要与电子设备和其他半导体生产线的检测系统一起使用。


图27:缺陷复查检测技术

数据来源:KLA


2.4.晶圆检测技术:光学检测长期主导,电子束技术为辅


从检测技术分类角度,根据VLSI Research和QY Research的报告,2020年全球半导体检测和量测设备市场中,应用光学检测技术、电子束检测技术、X光量测技术设备市场份额占比分别为75.2%、18.7%及2.2%。以上技术既可以用于缺陷检测,也可用于尺寸量测。


光学检测和电子束检测技术各有优缺点。光学检测系统的优势在于:1)速度快,采用面阵图像传感器拍摄一次图像耗时极短。2)成本低,相机,光源,镜头可以自由组合,有效降低设备成本。3)视场大,成像范围广,选取合适的镜头可以实现晶圆全范围检测(Full Scale Scan ),显著提升设备的吞吐量(Throughput)。缺点在于成像分辨率较低,晶圆缺陷的特征不明显。扫描电子显微镜检测系统的优势在于分辨率高,精度高,但是因为是逐点检验,速度极慢,价格昂贵,无法满足半导体工业大规模生产的要求,因此目前多在研发中使用,在量产线中和光学检测搭配使用。


表4:半导体缺陷检测和量测技术按照技术原理分类

数据来源:中科飞测招股说明书,国泰君安证券研究


2.4.1.晶圆检测技术以光学检测为主


原理:通过光学成像原理对相邻的晶圆进行比对,可以在短时间内进行大范围检测。光学图形圆片缺陷检测设备采用高精度光学检测技术,对圆片上的nm/μm尺度的缺陷和污染进行检测和识别,以便发现在不同生产节点中的圆片的产品质量问题。


一个成功的机器视觉系统,离不开一个优秀的相机系统、图像传感器、打光方案。鉴于晶圆缺陷的不规则性,图像传感器获取图像后晶圆缺陷的目标检测任务在使用传统图像处理算法进行处理时往往无法兼顾所有可能出现的缺陷。而深度学习方法(基于CNN的图像识别方法)对于图像分类和目标检测的高性能表现,可以大大提升不规则的缺陷识别率,提升整体系统的性能和速度。


图28:一个典型的AOI系统结构

数据来源:陈世炜《基于明暗场成像的多扫描方式图案化晶圆检测技术研究》、国泰君安证券研究


1)明/暗场图形缺陷检测:该类检测是基于光学成像技术对图形化的晶圆进行检测,明场是指照明光角度和采集光角度完全相同或部分相同,在光电传感器上最终形成的图像是由照明光入射晶圆表面并反射回来的光形成的;而暗场则是指照明光角度和采集光角度完全不同,所以在光电传感器上最终形成的图像是由照明光入射晶圆表面并被图形表面的3D结构散射回来的光形成的。明场一般是指照明光路和采集光路在临近晶圆端共用同一个显微物镜,而暗场是指照明光路和采集光路在物理空间上是完全分离的。其皆通过对晶圆上的图形进行成像后与相邻图像对比来检测缺陷并记录其位臵坐标。明场光学图形缺陷检测设备的供应商: KLA(39xx系列及29xx系列)、应用材料(UVision系列),暗场光学图形缺陷检测设备的供应商:KLA(Puma系列)、Hitachi High-Tech(IS系列)


图29:明/暗场图形缺陷检测

数据来源:中科飞测招股说明书、国泰君安证券研究


2)无图形表面检测系统(前文已经介绍,此处略)


3)宏观缺陷检测设备:基于光学图像检测技术,结合多种光学量测方法,可以实现尺度大于0.5μm的圆片缺陷检测。宏观缺陷检测设备一般用于光刻、CMP、刻蚀、薄膜沉积后的出货检验(OQC)以及入厂检验(IQC)中,包括正面检测、背面检测、边缘检测、晶圆几何形状检测等,可高速扫描硅片的全表面,自动存储硅片全景图像、缺陷分类,和输出缺陷检测结果。


宏观缺陷检测设备采用的检测方式有两种,一种方式为全圆片表面成像,光学系统能够实现整个300mm圆片表面的一次性成像探测,检测速度较快;另一种方式为局部圆片表面成像,具有更高的空间分辨率,测试中通过对圆片表面的定位或连续扫描,拍摄圆片表面的完整图像信息,通过“Die-to-Die”比对等图像计算方法获得检测结果。主要供应商:KLA(CIRCL 系列)、Nanometrics(Spark 系列)、Rudolph(NSX 系列)、上海睿励(FSD 系列)以及中科飞测(SPRUCE)


2.4.2.电子束图形圆片缺陷检测设备


原理:利用扫描电子显微镜在前道工序中对半导体圆片上的刻蚀图形直接进行缺陷检测的工艺检测设备。其原理为通过聚焦电子束对圆片表面进行扫描,接受反射回来的二次电子和背散射电子,进而将其转换成对应的圆片表面形貌的灰度图像。通过比对圆片上不同芯片(Die)同一位置的图像,或者通过图像和芯片设计图形的直接比对,可以找出刻蚀或设计上的缺陷。电子束检测的优势为可以不受某些表面物理性质的影响,且可以检测很小的表面缺陷,如栅极刻蚀残留物等,相较于光学检测技术,电子束检测技术灵敏度较高,但检测速度较慢,因此通常用于研发阶段,在针对先进制程芯片的生产流程时,会同时使用光学检测与电子束检两种技术互相辅助,进而快速找到晶圆生产的缺陷并控制和改善。主要供应商: KLA(eDR7XXX 系列、eSL10 系列)、AMAT(SEM VISION 系列)。核心部件扫描电子显微镜的主要供应商有:蔡司、日本电子(JEOL)、日立、泰思肯(TESCAN)、中科科仪。


图30:扫描电子显微镜(SEM)剖面图

数据来源:陈世炜《基于明暗场成像的多扫描方式图案化晶圆检测技术研究》、国泰君安证券研究


三、KLA:芯片制程控制之王的护城河


3.1.赢者通吃,KLA一家独大,全球市场份额超过50%


根据VLSI Research 统计,2020年全球前五大半导体量测公司KLA、应用材料、日立、雷泰光学和创新科技市场份额合计超过82.4%,市场集中度较高, KLA一家独大,全球市场份额为50.8%。


图 31:2020年全球半导体量测设备市场,KLA市占超过50%

数据来源:VLSI Research、QY Research、国泰君安证券研究


图 32:2020年中国半导体量测设备市场格局,KLA超过50%

数据来源:VLSI Research、QY Research 、国泰君安证券研究


从各个环节检测设备来看,供应商集中度更高,KLA在大多数半导体前道和中道的量测设备中,都占据了绝对主导的位置。


图33:KLA主要产品系列全球市占率处于绝对优势(2018年)

数据来源:VLSI Research、QY Research、国泰君安证券研究


表5:前道量测设备主要供应商集中度高

数据来源:VLSI Research、QY Research,国泰君安证券研究


2016~2021年,KLA增长速度上台阶,中国大陆市场的销售额复合增速超过35.7%,显著高于其在全球约13.2%的复合增长率。2004~2021年,KLA营业收入从20.9亿美元成长到92亿美元,复合增速8.9%,可持续经营的净利润从4.7亿美元成长到33亿美元,复合增速12.1%;2004~2015年,KLA表现相对比较平稳,收入复合增速3.3%,可持续经营的净利润复合增速3.7%,2016年开始进入快速成长期,2016~2021年收入复合增速20.9%,可持续经营的净利润复合增速29.5%,2021年收入同比增速33%,可持续经营的净利润复合增速提升至59.6%。根据KLA的长期经营目标,2021~2026年,公司收入复合增速目标为9%~11%,2026年实现140亿美元。


图34:KLA营收2016年进入快速成长期,21~26年复合成长目标9%~11%

数据来源:Wind、国泰君安证券研究


图35:2015年开始中国市场占比加大,开始从其他亚洲国家里单列出来

数据来源:Wind、国泰君安证券研究


图36:KLA持续经营净利快速增长

数据来源:Wind、国泰君安证券研究


盈利能力持续提升,2021年高达36%。除2008年外,近十几年KLA的毛利率长期维持在60%左右的高位,净利率在20%左右波动。随着规模效应进一步体现,2020~2021年净利率逐渐提升至30%和36%。


图37:大多数年份KLA的毛利率稳定在60%左右,净利率随规模效应创新高

数据来源:Wind、国泰君安证券研究


3.2.核心竞争力之一:创新,领跑复杂繁多又尖端的量测技术


创新是KLA的命脉,50年以来公司持续领跑各种复杂尖端的量测技术,赛道上总是少有竞争者。半导体制程技术日新月异,KLA需要不断投入高额的研发费用用于开发新的量测设备。近十年以来,KLA的研发支出占比一直在10%以上,2021年研发投入占比15%,高达9亿美元,超过了行业标准。


图38:KLA研发支持维持高位,研发占比持续维持10%以上,2021年达到15%

数据来源:Wind、国泰君安证券研究


图39:KLA研发支持维持高位,显著高于同行业友商

数据来源:KLA


公司构建了混合研发结构,以客户为中心,进行跨产品线的核心技术创新。KLA的核心技术涉及光学检测技术、大数据检测算法及自动化控制软件等方面,涵盖运动控制、光学、电气、精密加工、人工智能等多个学科,包括:激光、DUV/UV,可见光,电子束,x射线光学、高速数据处理,高性能计算、人工智能算法, 机器学习, 机器视觉,计算物理学,成像技术、精确的运动控制,机器人、宽带等离子体等。


图40:KLA产品创新具有悠久历史:产品和技术创新组合

数据来源:KLA


图41:跨产品线的核心技术创新

数据来源:KLA


3.3.核心竞争力之二:拥有全面的产品组合,满足客户对精度和产量的双重要求


自主研发拓宽产品线。KLA的第一条产品线叫RAPID,产品是掩模(Mask)的光学检测设备,这个设备用于替代人工检测,效率提升了数十倍。第二个产品系列是WISARD,用于晶圆检测。90年代以后,KLA的主攻方向由离线检测转到在线检测,进一步提高了芯片良率和生产效率,抓住了90年代中的新一轮半导体投资浪潮。


累计收购27家公司,丰富产品线。1996年,KLA合并了Tencor,纳入了优秀的薄膜测量技术以及流程诊断和产线管理技术,强强联合树立了KLA的王者地位。1998-1999年,KLA连续收购了干涉测量(德国Nanopro)、扫描电子显微镜(美国Amray)、产线图像管理(美国VARS)和硅片缺陷分析(美国Uniphase)等技术。到了新世纪,KLA收购的脚步并没有减慢,迄今KLA-Tencor共收购了27家公司。


图42:KLA持续丰富产品线

数据来源:中科招股说明书、国泰君安证券研究


KLA每年都会发布新产品以满足客户新的需求,21年KLA发布四款用于汽车芯片制造的新产品,提高汽车芯片的良率和可靠性:8935高生产率模压晶圆片检测系统,C205宽带等离子体晶片检测系统,Surfscan®SP A2/A3无图案晶圆片检查系统,I-PAT®在线缺陷部分平均测试筛选方案。前三台新检测设备构成了一个互补的缺陷发现、监控和控制的解决方案,适用于汽车行业中较大设计节点的芯片制造。


表6:21年KLA发布了四款用于汽车芯片制造的新产品

数据来源:KLA、国泰君安证券研究


表7:KLA产品家族(部分)

数据来源:KLA、国泰君安证券研究


3.4.核心竞争力之三:服务体系建设和供应链管理


KLA全球装机量近6万台,设备平均使用寿命12年。KLA超过50%设备使用寿命达18年,平均使用寿命为12年,历史上交付的80%的设备仍在客户现场使用中,在完全折旧(2-3倍)很长时间后,客户继续在生产中使用。


图43:KLA 超过 50%设备使用寿命达 18 年,平均使用寿命为 12 年

数据来源:KLA,注:类别代表产品推出的年份


半导体设备的长使用寿命强化先发优势,加强与客户的长期绑定关系;服务类收入受益于长使用寿命将不断增加,且受行业周期波动影响小。设备服务收入占KLA营收的1/4左右。


图44:KLA服务收入占比稳定在20%左右

数据来源:Wind、国泰君安证券研究


深厚的供应商关系确保了供应的连续性和更高的质量,与KLA设计和制造业务密切协调,确保无缝的客户体验。KLA与96%以上的主要供应商保持数十年的合作关系。工程和供应链紧密集成在整个产品生命周期的产品组合,长期购货承诺推动供应商投资和可用性,过去2年KLA在供应商产能方面的新投资超过1.5亿美元。深厚的供应商关系确保了供应的连续性和更高的质量,与KLA设计和制造业务密切协调,确保无缝的客户体验。


图45:KLA对供应商的购货承诺持续增长,存货/存货周转天数稳定

数据来源:KLA


KLA基于强大的持续改进文化的指标管理,用严格的组织和独特的系统来管理复杂的全球供应链。服务创新提升客户价值。部署领先的AR/VR技术,支持远程服务、协作和高级培训;强大的数据和分析驱动预测性维护策略和业务洞察;重大投资用于培训和提高员工在hiramp生产环境中日益复杂的技能。


图46:KLA服务路线图提升客户价值

数据来源:KLA


图47:KLA利用严格的组织和独特的系统来管理复杂的全球供应链

数据来源:KLA


四、国产半导体量测设备的机遇与挑战


4.1.产品覆盖面差距大,仅能覆盖28nm及以上制程


国内半导体处于高速增长期,本土企业存在较大的国产化空间。国内量测设备主要厂家有中科飞测、上海睿励、上海精测、赛腾股份、东方晶源、埃芯半导体、优睿谱等,其部分产品已进入一线产线验证,推动量测设备国产化。国内外厂商的差距:


1)品覆盖度差距甚远,国内龙头的产品覆盖度为27.2%。根据中科飞测招股说明书,公司产品线涵盖份额占比为27.2%。公司正在积极研发纳米图形晶圆缺陷检测设备、晶圆金属薄膜量测设备等其他型号的设备,对应的市场份额为24.7%和0.5%,研发成功后将提高产品线覆盖度。


2)工艺节点上,国内企业目前仅能覆盖28nm及以上制程。国际竞争对手的先进产品普遍能够覆盖28nm以下制程,国内产品已能够覆盖28nm及以上制程,应用于28nm以下制程的质量控制设备在研发中。


中国厂商从细分赛道出发,经过多年积累,部分系列产品在灵敏度/重复性精度、吞吐量上已经与国际领先企业整体性能相当。以国内半导体量测设备中的佼佼者中科飞测的几款竞争性产品为例:


1)灵敏度方面,实现了无图形晶圆缺陷检测设备系列最小灵敏度23nm缺陷尺度的检测,图形晶圆缺陷检测设备系列最小灵敏度0.5μm缺陷尺度的检测,三维形貌量测设备系列和薄膜膜厚量测设备系列重复性精度的显著提高,分别达到0.1nm和0.003nm。实现了晶圆表面的纳米量级微小凹坑深度等不同重要尺度的高精度测量。


2)吞吐量方面,无图形晶圆缺陷检测设备系列实现了灵敏度102nm下100wph的吞吐量、灵敏度26nm下25wph的吞吐量;图形晶圆缺陷检测设备系列实现了灵敏度3μm下80wph的吞吐量。公司技术实现了设备高灵敏度下的高吞吐量。


3)在功能性方面,实现了对晶圆正面、背面和边缘的缺陷分布检测,能够满足客户对晶圆全维度的缺陷检测,可以在制程工艺的早期就及时发现3D NAND多层Bonding工艺(边缘)和CMP工艺(背面)中的缺陷,从而提高晶圆制造的良率。


中科飞测过去四年收入快速增长,产品量价齐升。这反映出国内半导体检测行业的趋势。


图 48:中科飞测收入增速远高于行业

数据来源: wind、国泰君安证券研究


图 49:中科飞测产品量价齐升

数据来源: wind、国泰君安证券研究


图 50:国内主要量测设备厂商研发投入持续增长

数据来源: wind、国泰君安证券研究


图 51:国内主要量测设备厂商研发占比持续上升

数据来源: wind、国泰君安证券研究


4.2.供应链区域化正在推动先进晶圆厂的重大投资


科技脱钩使得全球重塑芯片行业供应链体系。美国商务部在2022年9月6日发布《2022芯片和科技法案》,切断向中国供应半导体芯片先进制程的技术和设备及材料,通过补贴加速芯片产业回流美国,隔断中国芯片产业与全球联系,重塑全球芯片产业链供应链格局。10月7日,美国BIS发布近年来范围最大的半导体管制举措,管控范围包括芯片、设备、零部件、人员等。


供应链的本地化进程提速,2030年中国将具备全球最大的晶圆产能。根据IC Insights数据,2021年全球晶圆产能约2160万片/月(8寸约当),同比增长3.78%,中国大陆晶圆产能350万片/月(8寸约当),全球占比约16.2%。根据SIA数据,伴随着中国大陆晶圆产能的扩张,2030年大陆晶圆产能占比有望提升到24%,中国将具备全球最大的晶圆产能。


图52:全球晶圆厂产能趋势:2030中国将具备全球最大的晶圆产能

数据来源:SIA


据SEMI,预计2022~2026年中国大陆将新增25座12英寸晶圆厂,年均新增5座12寸晶圆厂,26年产能将是22年底的2.8倍。2022年中国大陆共有23座12英寸晶圆厂正在投产,总计月产能约为104.2万片,与总规划月产能156.5万片相比,产能利用率66.58%。预计到2026年底,中国大陆12英寸晶圆厂的总月产能将超过276.3万片,相比2022年将提高165.1%。2022年,中国大陆晶圆产线的总产能约为162.5万片/月(8寸约当),规划总产能约为456.5万片/月(8寸约当),23~26年将累计新增293万片/月产能。


图53:预计中国2022~2026年将新增25座12寸晶圆厂(座)

数据来源:SEMI,国泰君安证券研究


4.3.SiC全球扩产,多个环节存在空白和补链机遇


据Yole 统计,2020年SiC碳化硅功率器件市场规模约7.1 亿美元,预计2026年将增长至 45亿美元,2020~2026年CAGR约36%。由于碳化硅具备耐高压、耐高温和高频的性能,在新能源车、新能源发电/储能、充电桩等领域均有可观的应用场景。碳化硅作为宽禁带半导体,具备出色的物理特性,可提高开关频率,近年来随成本下降而打开应用市场。据Yole 统计,2020年SiC碳化硅功率器件市场规模约7.1 亿美元,预计2027年将增长至63亿美元,2020~2027年CAGR约34%。新能源汽车是SiC功率器件下游最重要的应用市场,预计需求于2023年开始快速增长。


图54:三代半导体下游应用场景:新能源汽车、储能、充电桩需求增长

数据来源:中国电子材料行业协会半导体材料分会统计、国泰君安证券研究


图55:碳化硅功率器件市场规模预测

数据来源:Yole、国泰君安证券研究


SiC衬底,全球大扩产。据CASA Research 数据,2020年SiC导电型衬底产能约40万片/年(6寸约当),SiC半绝缘衬底产能约18万片/年(6寸约当)。2019年5月,Wolfspeed公告未来5年将投资10亿美元用于扩大碳化硅产能, Wolfspeed当前SiC衬底的总产能约合7万片/月(6英寸约当),未来两年将逐步扩张产能至10万片/月(6英寸约当),22年4月Wolfspeed全球最大的首座8英寸(200mm)碳化硅工厂正式开业,预计2024年达产产能为2017年的30倍。Coherent(原II-VI)计划在未来5~10年内向SIC投资10亿美元,5年内将其SiC基板的产能提高5~10倍。


表8:海外化合物半导体衬底外延生产布局情况(部分)

数据来源:中国电子材料行业协会半导体材料分会统计、国泰君安证券研究。


2021年底,国内厂商在衬底环节投资超400亿元,规划产能超600万片/年(折合6英寸)。截止至2021年我国从事碳化硅衬底研制的企业已逾30家,化合物半导体衬底、外延厂商逾100家(含氮化镓、砷化镓、磷化铟等)


表9:中国化合物半导体衬底外延生产布局情况(部分)

数据来源:中国电子材料行业协会半导体材料分会统计、国泰君安证券研究。


由于化合物半导体材料的特性,在制造工艺流程上某些工艺需要特定设备开发。碳化硅器件制造环节与硅基器件的制造工艺流程大体类似,主要包括光刻、清洗、掺杂、蚀刻、成膜、减薄等工艺。不少功率器件制造厂商在硅基制造流程基础上进行产线升级便可满足碳化硅器件的制造需求。碳化硅材料的特殊性质决定其器件制造中某些工艺需要依靠特定设备进行特殊开发,以促使碳化硅器件耐高压、大电流功能的实现。碳化硅特色工艺模块主要涵盖注入掺杂、栅结构成型、形貌刻蚀、金属化、减薄工艺。


表10:碳化硅特色工艺模块

数据来源:中国电子材料行业协会半导体材料分会统计、国泰君安证券研究


在化合物衬底、外延环节的检测设备,多数环节存在空白(无检测设备)或补链(KLA退出后的潜在替补)的机会。在化合物衬底、外延领域,国外价格极为昂贵,切片前缺陷检测普遍以人工检测为主,平整度检测设备有很大的降本需求。在化合物前道环节,主要是KLA垄断,国内厂商的产品处于定型阶段。封测后道环节竞争者较多。


表11:化合物半导体多数环节存在空白和补链机会

数据来源:中国电子材料行业协会半导体材料分会统计、国泰君安证券研究


五、半导体量测设备产业链梳理


表12:前道量测设备主要供应商集中度高

数据来源:VLSI Research、QY Research,国泰君安证券研究


风险提示:


半导体行业总体保持增长态势,下游新兴需求不断涌现、半导体产业向中国大陆转移、客户资本性支出增加,半导体专用设备市场需求呈持续增长趋势。然而,由于半导体行业受国际经济波动、终端消费市场需求变化等方面影响,其发展往往呈现一定的周期性波动特征。在行业景气度较高时,半导体制造企业往往加大资本性支出,快速提升对半导体设备的需求;但在行业景气度下降过程中,半导体企业则可能削减资本支出,从而对半导体设备的需求产生不利影响。


高端光学检测和量测设备涉及光学、算法、软件、机电自动化等多项跨领域技术,对设备制造企业的技术研发实力和跨领域技术资源整合能力有较高要求。目前,国内厂商与全球知名企业相比,综合技术实力差距仍较为明显。如果国内企业不能紧跟全球半导体质量控制设备领域技术发展趋势,及时预见并跟进行业技术升级迭代,或者后续公司研发资金投入不足,无法保证持续的技术升级,将面临市场竞争力下降的风险。


半导体设备行业为典型的技术和资金密集型行业。企业需要持续加大研发投入以推动公司产品升级换代。然而,如果企业的技术研发方向不能顺应市场需求,或在关键技术、关键产品的研发进展落后于国外内竞争对手,或研发出的新产品不能满足客户要求,企业将面临技术研发投入无法取得预期效果的风险,进而对企业经营造成一定不利影响。


本文来自微信公众号:国君材料与装备组(ID:GJCLYZBZ),作者:肖群稀、鲍雁辛

本内容为作者独立观点,不代表虎嗅立场。未经允许不得转载,授权事宜请联系 hezuo@huxiu.com
如对本稿件有异议或投诉,请联系tougao@huxiu.com
打开虎嗅APP,查看全文

大 家 都 在 看

大 家 都 在 搜

好的内容,值得赞赏

您的赞赏金额会直接进入作者的虎嗅账号

    自定义
    支付: